Saturday, September 2, 2017

setup and hold slack

Setup violations can be resolved by increasing clock path delay
Hold  violations can be resolved by increasing Data path delay

Fixing setup and hold violations are reverse in nature i.e., if setup can be fixed by adding 1 buffer in some path then hold can be fixed by removing buffer in that path.

You can live with setup violation(works with lesser frequency)  but not with hold violation. 

No comments:

Post a Comment