Friday, June 1, 2018

Setting Maximum and Minimum Path Delays:



By default, PrimeTime calculates the maximum and minimum path delays by considering the clock edge times. To override the default maximum or minimum time with your own specific time value, use the set_max_delay or set_min_delay command.

For example, to set the maximum path delay between registers REGA and REGB to 12, use this command:
pt_shell> set_max_delay 12 -from [get_cells REGA] -to [get_cells REGB]

With this timing exception, PrimeTime ignores the clock relationships. A path delay between these registers that exceeds 12 time units minus the setup requirement of the endpoint register is reported as a timing violation. Similarly, to set the minimum path delay between registers REGA and REGB to 2, use this command:
pt_shell> set_min_delay 2.0 -from [get_cells REGA] -to [get_cells REGB]

Again, PrimeTime ignores the clock relationships. A path delay between these registers that is less than 2 time units plus the hold requirement of the endpoint register is reported as a timing violation. You can optionally specify that the delay value apply only to rising edges or only to falling edges at the endpoint.

Be sure to select a valid path startpoint with the -from or similar option and a valid path endpoint with the -to or similar option unless you want to override the paths checked by PrimeTime. If you specify an invalid startpoint or endpoint, PrimeTime performs the timing check exactly as specified and ignores the remaining valid portion of the timing path.

Applying such an exception on a clock path prevents propagation of the clock forward from the point where the exception is applied. PrimeTime issues a UITE-217 warning message when you specify an invalid startpoint or endpoint.

No comments:

Post a Comment